Chính xác sản xuất PCB, PCB tần số cao, PCB cao tốc, PCB chuẩn, PCB đa lớp và PCB.
Nhà máy dịch vụ tùy chỉnh PCB & PCBA đáng tin cậy nhất.
Công nghệ PCB

Công nghệ PCB - Mô hình phân tích toàn vẹn tín hiệu cấp bảng thường được sử dụng

Công nghệ PCB

Công nghệ PCB - Mô hình phân tích toàn vẹn tín hiệu cấp bảng thường được sử dụng

Mô hình phân tích toàn vẹn tín hiệu cấp bảng thường được sử dụng

2021-08-25
View:447
Author:IPCB

Để thực hiện mô phỏng mạch, trước tiên phải xây dựng mô hình của các thành phần, nghĩa là, đối với các thành phần khác nhau được hỗ trợ bởi chương trình mô phỏng mạch, phải có các mô hình toán học tương ứng trong chương trình mô phỏng để mô tả chúng, tức là các công thức tính toán mà máy tính có thể vận hành để thể hiện chúng.


Một mô hình thành phần lý tưởng không chỉ phản ánh chính xác các đặc tính điện của thành phần mà còn phù hợp để giải quyết số trên máy tính. Nói chung, độ chính xác của mô hình thiết bị càng cao, bản thân mô hình càng phức tạp và số lượng tham số mô hình cần thiết càng nhiều. Bằng cách này, lượng bộ nhớ chiếm dụng trong quá trình tính toán tăng lên và thời gian tính toán tăng lên. Tuy nhiên, các mạch tích hợp có xu hướng chứa một số lượng lớn các thành phần và sự gia tăng nhỏ về độ phức tạp của mô hình thiết bị sẽ tăng gấp đôi thời gian tính toán. Ngược lại, nếu mô hình quá thô, kết quả phân tích sẽ không đáng tin cậy. Do đó, độ phức tạp của mô hình thành phần được sử dụng nên được xác định dựa trên nhu cầu thực tế.


Phần cốt lõi nhất của phương pháp thiết kế PCB dựa trên phân tích máy tính toàn vẹn tín hiệu là xây dựng mô hình toàn vẹn tín hiệu cấp PCB, khác với các phương pháp thiết kế truyền thống. Tính chính xác của mô hình SI sẽ xác định tính chính xác của thiết kế và khả năng xây dựng mô hình SI sẽ xác định tính khả thi của phương pháp thiết kế này.


Hiện tại, có hai cách để xây dựng một mô hình thiết bị: một là xem thiết bị như một'hộp đen', bắt đầu từ các đặc tính hoạt động điện của thiết bị, đo các đặc tính điện của cổng của nó và trích xuất mô hình thiết bị mà không liên quan đến nguyên tắc hoạt động của thiết bị. gọi là mô hình hành vi. Mô hình này được đại diện bởi mô hình IBIS và tham số S. Ưu điểm của nó là đơn giản và thuận tiện để mô hình hóa và sử dụng, tiết kiệm tài nguyên và một loạt các ứng dụng. Đặc biệt là ở tần số cao, phi tuyến và công suất cao, mô hình hành vi gần như là lựa chọn duy nhất. Nhược điểm là độ chính xác kém, không đảm bảo tính nhất quán và bị ảnh hưởng bởi kỹ thuật kiểm tra và độ chính xác. Một là dựa trên nguyên tắc làm việc của các thành phần. Xuất phát từ phương trình toán học của thiết bị, mô hình thiết bị và tham số mô hình thu được có liên quan mật thiết đến nguyên lý hoạt động vật lý của thiết bị. Mô hình SPICE là mô hình được sử dụng rộng rãi nhất trong mô hình này. Ưu điểm của nó là độ chính xác cao hơn, đặc biệt là với sự phát triển của phương pháp mô hình và những tiến bộ và thông số kỹ thuật trong công nghệ bán dẫn, người ta đã có thể cung cấp mô hình này ở nhiều cấp độ để đáp ứng các yêu cầu độ chính xác khác nhau. Nhược điểm là mô hình phức tạp và thời gian tính toán dài.


Thông thường, các mô hình ổ đĩa và máy thu được cung cấp bởi các nhà sản xuất thiết bị và các mô hình đường truyền thường được trích xuất từ các máy phân tích trường. Các mô hình đóng gói và kết nối có thể được trích xuất bằng máy phân tích trường hoặc được cung cấp bởi nhà sản xuất.


Trong thiết kế điện tử, có một số mô hình có thể được sử dụng để phân tích tính toàn vẹn tín hiệu cấp PCB. Trong số này, có ba mô hình được sử dụng phổ biến nhất là SPICE, IBIS, Verilog AMS và VHDL-AMS.


1.Mô hình SPICE


Spice là viết tắt của Simulation ProgramwithIntegratedCircuitEmphasis. Nó là một mô phỏng mạch analog đa năng mạnh mẽ đã tồn tại trong nhiều thập kỷ. Chương trình được phát triển bởi Khoa Kỹ thuật Điện và Khoa học Máy tính tại Đại học California, Berkeley và được sử dụng chủ yếu cho các mạch tích hợp. Trong các chương trình phân tích, định dạng bảng lưới Spice đã trở thành tiêu chuẩn để mô tả các mạch tương tự và mạch cấp bóng bán dẫn thường được sử dụng. Được thiết lập theo tiêu chuẩn công nghiệp quốc gia Hoa Kỳ, chủ yếu được sử dụng để thiết kế và mô phỏng các hệ thống điện tử như IC, mạch tương tự, mạch lai kỹ thuật số-analog, mạch nguồn, v.v. Vì chương trình mô phỏng Spice áp dụng chính sách mở hoàn toàn, người dùng có thể sửa đổi theo nhu cầu của họ. Ngoài ra, nó có tính thực tiễn tốt và quảng bá nhanh chóng. Nó đã được chuyển sang một số nền tảng hệ điều hành.


Kể từ khi Spice xuất hiện, các phiên bản của nó đã được cập nhật liên tục. Có rất nhiều phiên bản như Spice2 và Spice3. Phiên bản mới chủ yếu được cải tiến về đầu vào mạch, đồ họa, cấu trúc dữ liệu và hiệu quả thực hiện. Spice2G5 được coi là thành công và hiệu quả nhất. Có, các phiên bản trong tương lai chỉ thay đổi một phần.


Đồng thời, một loạt các công cụ mô phỏng mạch Spice thương mại, tập trung vào thuật toán mô phỏng Spice Berkeley, cũng đã được sản xuất. Chúng chạy trên PC và nền tảng UNIX. Nhiều trong số này dựa trên mã nguồn của phiên bản SPICE2G6 gốc. Đây là phiên bản được phát hành công khai. Phiên bản, họ đã làm rất nhiều công việc thực tế trên cơ sở Spice. Phần mềm mô phỏng Spice phổ biến hơn bao gồm Hspice, Pspice, Spectre, Tspice,


SmartSpcie, IsSpice, v.v., mặc dù các thuật toán cốt lõi của chúng giống nhau, nhưng tốc độ mô phỏng, độ chính xác và sự hội tụ khác nhau. Nổi tiếng nhất là Synopsys's Hspice và Cadence's Pspice. Hspice là phần mềm mô phỏng tiêu chuẩn công nghiệp Spice trên thực tế. Nó được sử dụng rộng rãi nhất trong ngành. Nó có các tính năng của độ chính xác cao và mô phỏng mạnh mẽ. Tuy nhiên, nó không có môi trường đầu vào front-end và yêu cầu chuẩn bị trước các tệp nettable. Nó không phù hợp với người dùng chính. Chủ yếu được sử dụng trong thiết kế mạch tích hợp; Pspice là lựa chọn tốt nhất cho người dùng cá nhân. Nó có một môi trường đầu vào front-end đồ họa, giao diện người dùng thân thiện và tỷ lệ giá cao. Nó chủ yếu được sử dụng trong bảng mạch PCB và thiết kế cấp hệ thống.


Phần mềm mô phỏng SPICE bao gồm hai phần: mô hình và mô phỏng. Do các mô hình và mô phỏng được tích hợp chặt chẽ, người dùng khó có thể thêm các loại mô hình mới, nhưng việc thêm các mô hình mới rất dễ dàng và chỉ cần thiết lập các tham số mới cho các loại mô hình hiện có.


Mô hình SPICE bao gồm hai phần: Model Equations và Model Parameters. Nhờ các phương trình mô hình được cung cấp, mô hình SPICE có thể được liên kết chặt chẽ với các thuật toán của mô phỏng, dẫn đến hiệu quả phân tích và kết quả phân tích tốt hơn.


Mô hình SPICE đã được sử dụng rộng rãi trong thiết kế điện tử và có thể thực hiện phân tích DC phi tuyến, phân tích thoáng qua phi tuyến và phân tích AC tuyến tính của mạch. Các thành phần trong mạch được phân tích có thể bao gồm điện trở, điện dung, cảm ứng, biến cảm, nguồn điện áp độc lập, nguồn hiện tại độc lập, các nguồn điều khiển tuyến tính khác nhau, đường truyền và thiết bị bán dẫn hoạt động. SPICE được xây dựng trong mô hình thiết bị bán dẫn, người dùng chỉ cần chọn mức mô hình và cung cấp các thông số thích hợp.


Khi sử dụng mô hình SPICE để thực hiện phân tích SI ở cấp độ bo mạch PCB, các nhà thiết kế và nhà sản xuất IC cần cung cấp mô tả chi tiết và chính xác về mô hình SPICE và các thông số sản xuất của các đặc tính bán dẫn của mạch con I/O của mạch tích hợp. Vì các vật liệu này thường thuộc sở hữu trí tuệ và bảo mật của các nhà thiết kế và nhà sản xuất, chỉ có một số ít các nhà sản xuất chất bán dẫn cung cấp các mô hình SPICE tương ứng trong khi cung cấp các sản phẩm chip.


Độ chính xác phân tích của mô hình SPICE phụ thuộc chủ yếu vào nguồn gốc của các tham số mô hình (tức là độ chính xác của dữ liệu) và phạm vi áp dụng các phương trình mô hình. Sự kết hợp của các phương trình mô hình với các mô phỏng kỹ thuật số khác nhau cũng có thể ảnh hưởng đến độ chính xác của phân tích. Ngoài ra, mô hình SPICE cấp PCB có rất nhiều tính toán mô phỏng và phân tích tương đối tốn thời gian.


II. Mô hình IBIS


IBIS là viết tắt của I/O Buffer Information Specification. Nó là một cách nhanh chóng và chính xác để xây dựng mô hình I/OBUFFER dựa trên đường cong I/V. Nó là một tiêu chuẩn quốc tế phản ánh các đặc tính điện của chip điều khiển và nhận. Nó cung cấp một định dạng tệp tiêu chuẩn để ghi lại các thông số như trở kháng đầu ra nguồn lái xe, thời gian tăng/giảm và tải đầu vào, lý tưởng cho việc tính toán và mô phỏng trong các thiết kế mạch tốc độ cao như dao động và nhiễu xuyên âm.


Để phát triển một định dạng IBIS thống nhất, các công ty EDA, các nhà cung cấp IC và người dùng cuối đã thành lập Ủy ban Phát triển Định dạng IBIS và Diễn đàn Mở IBIS đã ra đời. Nó bao gồm một số nhà sản xuất EDA, nhà sản xuất máy tính, nhà sản xuất chất bán dẫn và trường đại học.


Năm 1993, Hội đồng Phát triển Định dạng đã giới thiệu phiên bản 1.0 tiêu chuẩn đầu tiên của IBIS và liên tục được sửa đổi. Phiên bản chính thức gần đây nhất là phiên bản 4.1, được phát hành vào năm 2004. V4.1 chủ yếu bổ sung các mô hình đa ngôn ngữ. Hỗ trợ Berkeley PICE, VHDL-AMS và Verilog AMS, mô hình IBIS có khả năng mô hình hóa toàn bộ hệ thống và phạm vi ứng dụng mô hình đã mở rộng đáng kể, nhưng điều này đòi hỏi một công cụ mô phỏng lai hỗ trợ các mô hình này cùng một lúc. Mô phỏng, nên việc áp dụng quy mô lớn phần mềm mô hình cần có thời gian. Tiêu chuẩn IBIS đã được EIA công nhận và được định nghĩa là tiêu chuẩn ANSI/EIA-656-A. Mỗi bản phát hành mới sẽ thêm một cái gì đó mới, nhưng đây chỉ là tùy chọn và không bắt buộc trong tệp mô hình IBIS, đảm bảo khả năng tương thích ngược của mô hình IBIS.


Hiện nay, hàng chục công ty EDA đã trở thành thành viên của Diễn đàn mở IBIS. Các công ty EDA hỗ trợ IBIS cung cấp các mô hình IBIS và các công cụ mô phỏng phần mềm cho các thiết bị khác nhau. Ngày càng có nhiều nhà sản xuất chất bán dẫn đã bắt đầu cung cấp các mô hình IBIS cho các sản phẩm của họ. Mô hình IBIS được các nhà sản xuất bán dẫn hoan nghênh và ủng hộ vì nó không yêu cầu mô tả thiết kế nội bộ và các thông số sản xuất bóng bán dẫn của các đơn vị I/O. Tất cả các nhà sản xuất IC kỹ thuật số lớn hiện có thể cung cấp các mô hình IBIS tương ứng trong khi cung cấp chip.

ATL

Bản thân đặc tả IBIS chỉ là một định dạng tệp. Nó giải thích làm thế nào để ghi lại các thông số khác nhau của ổ đĩa chip và máy thu trong một tập tin IBIS tiêu chuẩn, nhưng không giải thích làm thế nào để sử dụng các thông số của các bản ghi này. Mô hình IBIS yêu cầu sử dụng các tham số này. Công cụ mô phỏng để đọc.


Mô hình IBIS chỉ cung cấp mô tả về hành vi của ổ đĩa và máy thu, nhưng không tiết lộ chi tiết sở hữu trí tuệ về cấu trúc bên trong mạch. Nói cách khác, người bán có thể sử dụng mô hình IBIS để minh họa cho công việc thiết kế cửa mới nhất của họ mà không tiết lộ quá nhiều thông tin sản phẩm cho đối thủ cạnh tranh. Ngoài ra, vì IBIS là một mô hình đơn giản và mô phỏng cấp bo mạch PCB được tính toán bằng cách sử dụng bảng kiểm tra, nên số lượng tính toán nhỏ hơn, tiết kiệm 10-15 lần so với mô phỏng mô hình cấp ba Spice tương ứng.


IBIS cung cấp hai đường cong I/V hoàn chỉnh đại diện cho các trạng thái cấp cao và cấp thấp của người lái xe, cũng như các đường cong chuyển đổi trạng thái ở một tốc độ chuyển đổi nhất định. Chức năng của đường cong I/V là cung cấp cho IBIS khả năng mô hình hóa các hiệu ứng phi tuyến, chẳng hạn như bảo vệ diode, nguồn điều khiển cột totem TTL và đầu ra của bộ theo dõi phóng xạ. Độ chính xác phân tích của mô hình IBIS phụ thuộc chủ yếu vào số lượng điểm dữ liệu trong các bảng I/V và V/T và độ chính xác của dữ liệu.


Những lợi thế của mô hình IBIS so với mô hình Spice có thể được tóm tắt như sau:


Nó có thể cung cấp một mô hình chính xác về các khía cạnh phi tuyến tính của I/O, xem xét các thông số ký sinh của gói và cấu trúc ESD;


Cung cấp tốc độ mô phỏng nhanh hơn so với phương pháp có cấu trúc; v

Nó có thể được sử dụng ở cấp độ bảng hệ thống hoặc phân tích và mô phỏng tính toàn vẹn tín hiệu đa bảng. Các vấn đề về tính toàn vẹn của tín hiệu có thể được phân tích bởi mô hình IBIS bao gồm: nhiễu xuyên âm, phản xạ, dao động, quá liều, giật, không phù hợp trở kháng, phân tích đường truyền và phân tích cấu trúc liên kết. IBIS đặc biệt có khả năng mô phỏng chính xác các dao động và nhiễu xuyên âm tốc độ cao. Nó có thể được sử dụng để phát hiện hành vi tín hiệu trường hợp xấu nhất trong điều kiện thời gian tăng và trong trường hợp không thể giải quyết bằng một số thử nghiệm vật lý; v

Các mô hình có sẵn miễn phí từ các nhà sản xuất chất bán dẫn, người dùng không phải trả thêm tiền cho mô hình; v

Tương thích với một loạt các nền tảng mô phỏng trong ngành, hầu như tất cả các công cụ phân tích tính toàn vẹn tín hiệu đều chấp nhận mô hình IBIS. v

Tất nhiên, IBIS không hoàn hảo, nó cũng có những thiếu sót sau:

Nhiều nhà sản xuất chip thiếu sự hỗ trợ cho mô hình IBIS. v


Công cụ IBIS không hoạt động nếu không có mô hình IBIS. Mặc dù các tệp IBIS có thể được tạo thủ công hoặc tự động chuyển đổi thông qua mô hình Spice, không có công cụ chuyển đổi nào có thể thực hiện bất kỳ hành động nào nếu không có thông số thời gian tăng tối thiểu từ nhà sản xuất.


IBIS không thể xử lý lý tưởng các mạch loại ổ đĩa với thời gian tăng có kiểm soát, đặc biệt là những mạch có phản hồi phức tạp;


IBIS thiếu khả năng mô phỏng tiếng ồn của bom mặt đất. Phiên bản 2.1 của mô hình IBIS chứa sự tương tác mô tả các kết hợp pin khác nhau, từ đó có thể trích xuất một số thông tin phản hồi rất hữu ích. Lý do nó không hoạt động là phương pháp mô hình hóa. Khi đầu ra nhảy từ mức cao xuống mức thấp, điện áp hồi phục mặt đất lớn có thể thay đổi hành vi của trình điều khiển đầu ra. v


Mô hình Verilog AMS và VHDL-AMS


Các mô hình Verilog AMS và VHDL-AMS xuất hiện muộn hơn so với các mô hình Spice và IBIS và là một ngôn ngữ mô hình hành vi. Là một ngôn ngữ mô hình hóa cấp độ hành vi phần cứng, Verilog AMS và VHDL-AMS là siêu tập của Verilog và VHDL, trong khi Verilog-A là một tập con của Verilog AMS.


Trong ngôn ngữ tín hiệu tương tự/hỗn hợp (AMS), không giống như các mô hình SPICE và IBIS, trong ngôn ngữ AMS, người dùng viết các phương trình mô tả hành vi của các thành phần. Tương tự như mô hình IBIS, ngôn ngữ mô hình AMS là một định dạng mô hình độc lập có thể được sử dụng cho nhiều loại công cụ mô phỏng khác nhau. Phương trình AMS cũng có thể được viết ở nhiều cấp độ khác nhau: cấp transistor, cấp I/O đơn vị, nhóm I/O đơn vị, vv Yêu cầu duy nhất là nhà sản xuất có thể viết một phương trình mô tả mối quan hệ đầu vào/đầu ra của cổng.


Trên thực tế, các mô hình AMS cũng có thể được sử dụng cho các thành phần hệ thống không điện. Thông thường, các mô hình có thể được viết đơn giản hơn để tăng tốc độ mô phỏng. Các mô hình chi tiết hơn thường mất nhiều thời gian hơn để mô phỏng. Trong một số trường hợp, mô hình hành vi tương đối đơn giản sẽ chính xác hơn mô hình Spice.


Vì cả Verilog AMS và VHDL-AMS đều là tiêu chuẩn mới, chúng chỉ được thông qua trong năm năm qua. Cho đến nay, chỉ có một số ít các nhà sản xuất chất bán dẫn có thể cung cấp các mô hình AMS. Trình mô phỏng có thể hỗ trợ AMS tốt hơn SPICE và IBIS. Ít hơn. Tuy nhiên, tính khả thi và độ chính xác tính toán của các mô hình AMS trong phân tích tính toàn vẹn tín hiệu cấp PCB không kém các mô hình SPICE và IBIS.


3.21999

4.12004VHDL-AMS1999

Verilog-AMS1998


4 Kiểm tra mô hình


Cho dù bạn quyết định chọn mô hình và công cụ mô phỏng nào, phương pháp được sử dụng phải có hiệu quả. Ít nhất, tính chính xác và đầy đủ của mô hình phải được đảm bảo. Ví dụ, mô hình IBIS của người nhận phải bao gồm các giá trị của Vinh và Vinh, và mô hình IBIS của ổ đĩa phải bao gồm các giá trị của Vmeas. Bảng dữ liệu của mô hình IBIS có thể được kiểm tra bằng các công cụ hiển thị đồ họa, chẳng hạn như Visual IBISEditor của Mentor hoặc công cụ ModelIntegrity của Cadence.


Đồng thời, mô hình phải có khả năng vượt qua các bài kiểm tra của trình mô phỏng. Một mô hình có thể được xác minh bằng cách sử dụng kết nối điểm-điểm đơn giản, chẳng hạn như phát hiện nếu có vấn đề hội tụ. Lưu ý rằng kết nối phải bao gồm ít nhất một đoạn đường truyền để quan sát. Đặc tính indica của phản xạ, overpunch và indica diode.


Cuối cùng, mô hình phải được kiểm tra lại thông qua kiểm tra phần cứng thực tế. Tất nhiên, điều kiện làm việc thực tế của thiết bị không thể hoàn toàn phù hợp với các thông số mô phỏng và dữ liệu đo thu được không thể hoàn toàn phù hợp với kết quả mô phỏng, nhưng các đặc tính của thiết bị phản ánh phải phù hợp, chẳng hạn như độ dốc và quá mức của các cạnh trong cùng điều kiện tải. Biên độ, hình dạng của đường cong tín hiệu phải tương tự.


5 Lựa chọn model


Vì không có mô hình thống nhất để hoàn thành phân tích toàn vẹn tín hiệu ở tất cả các cấp PCB, nên trong thiết kế của bảng PCB kỹ thuật số tốc độ cao, cần pha trộn các mô hình trên để tối đa hóa việc thiết lập mô hình truyền tín hiệu quan trọng và nhạy cảm.


Đối với các phần tử thụ động rời rạc, mô hình SPICE do nhà sản xuất cung cấp có thể được tìm kiếm hoặc chế độ SPICE đơn giản hóa có thể được thiết lập và sử dụng trực tiếp thông qua các phép đo thực nghiệm hoặc bằng cách sử dụng các công cụ mô hình chuyên dụng như phần mềm chiết xuất mô hình trường điện từ ba chiều và hai chiều.


Đối với các mạch tích hợp kỹ thuật số quan trọng, bạn phải tìm kiếm các mô hình do nhà sản xuất cung cấp, chẳng hạn như mô hình IBIS hoặc Spice. Hiện tại, hầu hết các nhà thiết kế và nhà sản xuất mạch tích hợp có thể cung cấp chip thông qua trang web hoặc các phương tiện khác trong khi cung cấp mô hình IBIS mong muốn. Mô hình IBIS thường không được cung cấp. Có sẵn từ nhà sản xuất nếu cần thiết.


Đối với các mạch tích hợp không quan trọng, một mô hình IBIS tương tự hoặc mặc định cũng có thể được chọn dựa trên chức năng của chân chip nếu mô hình IBIS của nhà sản xuất không có sẵn. Tất nhiên, một mô hình IBIS đơn giản hóa cũng có thể được thiết lập thông qua các phép đo thực nghiệm.


Đối với đường truyền trên bo mạch PCB, phân tích trước về tính toàn vẹn tín hiệu và phân tích giải quyết không gian có thể được thực hiện bằng cách sử dụng mô hình SPICE đường truyền đơn giản, theo thiết kế bố trí thực tế, phân tích sau khi cáp cần sử dụng mô hình SPICE đường truyền hoàn chỉnh. Nếu cần phân tích chính xác hơn và cần mô hình hóa chính xác đường truyền, bạn có thể sử dụng công cụ trích xuất mô hình hai chiều hoặc ba chiều.